Mostrar o rexistro simple do ítem

dc.contributor.authorHorro, Marcos
dc.contributor.authorRodríguez, Gabriel
dc.contributor.authorTouriño, Juan
dc.date.accessioned2024-06-20T09:07:57Z
dc.date.available2024-06-20T09:07:57Z
dc.date.issued2019
dc.identifier.citationM. Horro, G. Rodríguez and J. Touriño, "Simulating the Network Activity of Modern Manycores," in IEEE Access, vol. 7, pp. 81195-81210, 2019, doi: 10.1109/ACCESS.2019.2923855.es_ES
dc.identifier.issn2169-3536
dc.identifier.urihttp://hdl.handle.net/2183/37197
dc.description.abstract[Abstract]: Manycore architectures are one of the most promising candidates to reach the exascale. However, the increase in the number of cores on a single die exacerbates the memory wall problem. Modern manycore architectures integrate increasingly complex and heterogeneous memory systems to work around the memory bottleneck while increasing computational power. The Intel Mesh Interconnect architecture is the latest interconnect designed by Intel for its HPC product lines. Processors are organized in a rectangular network-on-chip (NoC), connected to several different memory interfaces, and using a distributed directory to guarantee coherent memory accesses. Since the traffic on the NoC is completely opaque to the programmer, simulation tools are needed to understand the performance trade-offs of code optimizations. Recently featured in Intel's Xeon Scalable lines, this interconnect was first included in the Knights Landing (KNL), a manycore processor with up to 72 cores. This work analyzes the behavior of the Intel Mesh Interconnect through the KNL architecture, proposing ways to discover the physical layout of its logical components. We have designed and developed an extension to the Tejas memory system simulator to replicate and study the low-level data traffic of the processor network. The reliability and accuracy of the proposed simulator is assessed using several state-of-the-art sequential and parallel benchmarks, and a particular Intel Mesh Interconnect-focused locality optimization is proposed and studied using the simulator and a real KNL system.es_ES
dc.description.sponsorshipThis research was supported by the Ministry of Economy, Industry and Competitiveness of Spain, Project TIN2016-75845-P (AEI/FEDER/EU) and by the Ministry of Education under Grant FPU16/00816.es_ES
dc.language.isoenges_ES
dc.publisherInstitute of Electrical and Electronics Engineers Inc.es_ES
dc.relationinfo:eu-repo/grantAgreement/MINECO/Plan Estatal de Investigación Científica y Técnica y de Innovación 2013-2016/TIN2016-75845-P/ES/NUEVOS DESAFIOS EN COMPUTACION DE ALTAS PRESTACIONES: DESDE ARQUITECTURAS HASTA APLICACIONES (II)/es_ES
dc.relationinfo:eu-repo/grantAgreement/MECD/Plan Estatal de Investigación Científica y Técnica y de Innovación 2013-2016/FPU16%2F00816/ES/es_ES
dc.relation.urihttps://doi.org/10.1109/ACCESS.2019.2923855es_ES
dc.rightsAtribución 3.0 Españaes_ES
dc.rights.urihttp://creativecommons.org/licenses/by/3.0/es/*
dc.subjectarchitectural simulatores_ES
dc.subjectcache coherencees_ES
dc.subjectComputer architecturees_ES
dc.subjectdistributed cache directoryes_ES
dc.subjecthigh-performance computinges_ES
dc.titleSimulating the Network Activity of Modern Manycoreses_ES
dc.typeinfo:eu-repo/semantics/articlees_ES
dc.rights.accessinfo:eu-repo/semantics/openAccesses_ES
UDC.journalTitleIEEE Accesses_ES
UDC.volume7es_ES
UDC.startPage81195es_ES
UDC.endPage81210es_ES
dc.identifier.doi10.1109/ACCESS.2019.2923855


Ficheiros no ítem

Thumbnail
Thumbnail

Este ítem aparece na(s) seguinte(s) colección(s)

Mostrar o rexistro simple do ítem